Jump to content

SID Problem CAN Bus Error


suital
 Share

Recommended Posts

Hi,

habe meine MB6582 jetzt fertiggestellt. Hab auch erfolgreich die RC13 auf den ersten PIC bekommen. Aber wenn ich jetzt die Software auf die anderen clonen will (beim starten menu butteon gedrückt lassen) bekomme ich folgende Fehlermeldung auf dem Display: SID1 not available (CAN Bus Errors)

wenn ich dann nochmal normal reboote ist SID1 wieder da, aber wenn ich dann auf SID2 umschalten will kommt wieder die gleiche Fehlermeldung. Habe den die Slaves mit den jeweiligen IDs gebrannt und ein Upload über MIOS Studio ist erfolgreich, aber wenn ich dann zwischen den SIDs schalte, kommt wieder die gleich Fehlermeldung. Was kann ich dagegen machen???

Link to comment
Share on other sites

Hallo Suital,

die Meldung "CAN Bus Errors" bei selektiertem SID1 deutet darauf hin, dass der Master seine eigenen Packete nicht empfangen hat. In diesem Fall geht das CAN Interface in den Passiv-Status, um das Netzwerk nicht weiter zu stoeren.

Ueberpruefe mal die Polaritaet der Dioden (in Wilba's Schaltplan D1_CORE1, D1_CORE2, ... genannt), sowie den Pull-Up Widerstand R80 (1k)

Gruss, Thorsten.

Link to comment
Share on other sites

Danke für die schnelle Hilfe Thorsten  :)

Habe eben nochmal alles gecheckt, und von der Elektronik her ist alles in Ordunung. Habe dann nochmal auf alle PICs MIOS und die Software neu aufgespielt und jetzt gehts. Da muss ich einen Fehler beim MIOS aufspielen gehabt haben. Hatte die Software mehmals neu aufgespielt und das Problem war nicht weg. Jetzt habe ich nochmal MIOS überall neu aufgespielt und das Problem ist weg  :D

Danke nochmal für die Hilfe.

Gruß

Jan

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

Loading...
 Share

×
×
  • Create New...